10. Задачи и функции в Verilog HDL

Задачи(task) и функции(function) обеспечивают возможность выполнения общих процедур из нескольких разных мест описания. Они также позволяют разбить большие процедуры на более мелкие, чтобы облегчить чтение и отладку исходных описаний. В этом пункте обсуждаются различия между задачами(task) и функциями(function), описывается, как определять и вызывать задачи и функции, а также приводятся примеры каждой из них. Подробное содержание: 10.1 Различия между task и function 10.2 Задачи(task) и создание условий для выполнения задач Декларации задач(task) Вызов задач(task) и передача аргументов Использование памяти задачи и одновременная активация 10.3 Отключение именованных блоков и задач 10.4 Функции и вызов функций Декларации функций Возвращение значения из функции Вызов функции Правила функционирования Использование константных функций(function)
Back to Top