ALINT PRO™ 6.4 Clock Domain Crossing Analysis: Static Linting of Custom Synchronizers

Clock Domain Crossing (CDC) Analysis in ALINT-PRO involves static and dynamic verification techniques to ensure reliable cross-domain interactions. In the case that a design requires the usage of a synchronizer not directly recognized by ALINT-PRO, a custom synchronizer can be created to allow the tool to properly handle that synchronizer. This video explains the creation process and CDC static analysis of a custom synchronizer in ALINT-PRO. Timecodes: 00:00 - Intro 01:56 - File Contents 06:50 - Library Cell RTL view 07:25 - Library CDC Schematic view 09:12 - Change to non-library Cells 09:35 - Non-Library Cell RTL view 11:32 - Non-Library CDC Schematic view 13:24 - Outro
Back to Top