ASIC Design Flow | How a chip is designed??

Designing chip from Idea to physical chips require a lot of steps. This video talks about the entire process which is followed to design a chip. This process is commonly called ASIC Design Flow in VLSI. Understanding the complete flow is important for anyone who wishes to join the VLSI industry. This flow is most often asked in VLSI interviews and thus this video is made to provide a good understanding of all the steps in the flow. Learn more about VLSI on our website as well → Chapters: 00:00 What is ASIC?? 00:51 ASIC Design Flow 01:08 System Specification 01:50 Architecture Design 02:28 RTL Design 03:12 Design Verification 04:00 Synthesis 04:35 DFT Insertion 05:06 Formal Verification 05:50 Floor Planning 06:22 Cell Layout 06:54 Clock Tree synthesis 07:27 Physical Verification 08:05 Post Layout STA 08:42 GDSII Creation 09:12 Fabrication 09:52 Post Silicon Validation 10:31 Frontend vs Backend Music Used: A Magical Journey Through Space by Leonell Cassio Creative Commons — Attribution-ShareAlike 3.0 Unported — CC BY-SA 3.0 Free Download / Stream: Music promoted by Audio Library The Clock (instrumental) by RYYZN Creative Commons — Attribution 3.0 Unported — CC BY 3.0 Free Download / Stream: Music promoted by Audio Library #VLSI #ASIC #asicdesignflow
Back to Top