7.5 - Active-HDL™ (v13.1) Customization & Integration: Simulation and Debugging with Xilinx Vivado

Xilinx Vivado allows the ability to utilize different simulators besides their own. Because of that, the capabilities of Active-HDL’s fast and comprehensive are easily accessible when debugging and simulating Vivado projects. This video provides a general overview of how to simulate and debug Vivado projects using Active-HDL’s simulator environment.
Back to Top